What is the sound of one zero-length bitset clapping?

Revision en2, by ouuan, 2019-01-06 15:47:53

Today I was solving a problem with bitset which needs to find the first set bit (bit with value 1/true). I looked up in C++ Reference but was not able to find a proper function.

Some one else told me that ._Find_first() works (also mentioned in this blog), which is not documented.

I was curious about if there are more undocumented member functions, so I took a look at the source code and found this:

I think the last question is so funny lol

Tags bitset

History

 
 
 
 
Revisions
 
 
  Rev. Lang. By When Δ Comment
en2 English ouuan 2019-01-06 15:47:53 2 Tiny change: 't `._Find_First()` wo' -> 't `._Find_first()` wo'
en1 English ouuan 2019-01-06 11:20:46 657 Initial revision (published)